Cargando…

Modern Circuit Placement Best Practices and Results /

Modern Circuit Placement: Best Practices and Results describes advanced techniques in VLSI circuit placement which is one of the most important steps of the VLSI physical design flow. Physical design addresses the back-end layout stage of the chip design process. As technology scales down, the signi...

Descripción completa

Detalles Bibliográficos
Clasificación:Libro Electrónico
Autor Corporativo: SpringerLink (Online service)
Otros Autores: Nam, Gi-Joon (Editor ), Cong, Jingsheng Jason (Editor )
Formato: Electrónico eBook
Idioma:Inglés
Publicado: New York, NY : Springer US : Imprint: Springer, 2007.
Edición:1st ed. 2007.
Colección:Integrated Circuits and Systems,
Temas:
Acceso en línea:Texto Completo
Tabla de Contenidos:
  • Benchmarks
  • ISPD 2005/2006 Placement Benchmarks
  • Locality and Utilization in Placement Suboptimality
  • Flat Placement Techniques
  • DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective
  • Kraftwerk: A Fast and Robust Quadratic Placer Using an Exact Linear Net Model
  • Top-Down Partitioning-Based Techniques
  • Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability
  • Congestion Minimization in Modern Placement Circuits
  • Multilevel Placement Techniques
  • APlace: A High Quality, Large-Scale Analytical Placer
  • FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm
  • mFAR: Multilevel Fixed-Points Addition-Based VLSI Placement
  • mPL6: Enhanced Multilevel Mixed-Size Placement with Congestion Control
  • NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs
  • Conclusion and Challenges.